blob: 2122f147d161ca2e57ffa1701cde64175e414baf [file] [log] [blame]
Kristofer Jonsson641c0912020-08-31 11:34:14 +02001#
Kristofer Jonsson1efcc3f2021-02-16 17:40:25 +01002# Copyright (c) 2019-2021 Arm Limited. All rights reserved.
Kristofer Jonsson641c0912020-08-31 11:34:14 +02003#
4# SPDX-License-Identifier: Apache-2.0
5#
6# Licensed under the Apache License, Version 2.0 (the License); you may
7# not use this file except in compliance with the License.
8# You may obtain a copy of the License at
9#
10# www.apache.org/licenses/LICENSE-2.0
11#
12# Unless required by applicable law or agreed to in writing, software
13# distributed under the License is distributed on an AS IS BASIS, WITHOUT
14# WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
15# See the License for the specific language governing permissions and
16# limitations under the License.
17#
18
Per Åstrand895fda82021-01-07 14:14:14 +010019add_library(message_process STATIC src/message_process.cpp)
Kristofer Jonsson641c0912020-08-31 11:34:14 +020020target_include_directories(message_process PUBLIC include ${LINUX_DRIVER_STACK_PATH}/kernel)
Davide Grohmann53cc13d2021-06-01 14:21:42 +020021
Anton Moberg07cf70b2021-07-07 11:08:17 +020022target_link_libraries(message_process PRIVATE cmsis_device inference_process ethosu_mailbox ethosu_log)
Davide Grohmann53cc13d2021-06-01 14:21:42 +020023if (CORE_SOFTWARE_ACCELERATOR STREQUAL "NPU")
24 target_link_libraries(message_process PRIVATE ethosu_core_driver)
Anton Moberg07cf70b2021-07-07 11:08:17 +020025endif()