blob: 2ee993df55f0f678b3042d5bf460336425495912 [file] [log] [blame]
Jonny Svärd5adf5a62022-02-09 16:42:10 +01001#
2# Copyright (c) 2022 Arm Limited. All rights reserved.
3#
4# SPDX-License-Identifier: Apache-2.0
5#
6# Licensed under the Apache License, Version 2.0 (the License); you may
7# not use this file except in compliance with the License.
8# You may obtain a copy of the License at
9#
10# www.apache.org/licenses/LICENSE-2.0
11#
12# Unless required by applicable law or agreed to in writing, software
13# distributed under the License is distributed on an AS IS BASIS, WITHOUT
14# WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
15# See the License for the specific language governing permissions and
16# limitations under the License.
17#
18
19add_library(ethosu_crc INTERFACE)
20target_include_directories(ethosu_crc INTERFACE include)