blob: 8126cb4de277d6da5e3d01cfe6f776b3776bca75 [file] [log] [blame]
alexander31ae9f02022-02-10 16:15:54 +00001/*
2 * Copyright (c) 2021 Arm Limited. All rights reserved.
3 * SPDX-License-Identifier: Apache-2.0
4 *
5 * Licensed under the Apache License, Version 2.0 (the "License");
6 * you may not use this file except in compliance with the License.
7 * You may obtain a copy of the License at
8 *
9 * http://www.apache.org/licenses/LICENSE-2.0
10 *
11 * Unless required by applicable law or agreed to in writing, software
12 * distributed under the License is distributed on an "AS IS" BASIS,
13 * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
14 * See the License for the specific language governing permissions and
15 * limitations under the License.
16 */
17// Auto-generated file
18// ** DO NOT EDIT **
19
20#ifndef PERIPHERAL_IRQS_H
21#define PERIPHERAL_IRQS_H
22
23/******************************************************************************/
24/* Peripheral interrupt numbers */
25/******************************************************************************/
26
27#cmakedefine EthosU_IRQn (@EthosU_IRQn@) /* Ethos-Uxx Interrupt */
28
29#endif /* PERIPHERAL_IRQS_H */